您好,欢迎光临江苏自考网(www.jiangsuzikao.com)!

咨询电话:025-83235887 / RSS地图 / 网站地图
自考专题:
来源:江苏自考网 时间:2020-12-09 14:16:37
南京理工大学编 (高纲号 0608)
    一、课程性质及其设置目的与要求
      (一) 课程性质和特点
      《数字电路》是我省高等教育自学考试电子工程专业(本科段)的一门加考课程。其任务是使应考者获得电子技术方面的基本理论、基本知识,掌握数字逻辑电路分析和设计的基本方法,为学习有关专业课程及进行电子电路设计打下坚实的理论基础,更好地适应信息化、数字化社会发展的要求。
      本课程在内容上分为六部分,第一部分是数字逻辑基础,主要介绍数制与二进制代码、基本逻辑运算与逻辑门、逻辑函数及逻辑代数公式、逻辑函数化简、逻辑函数门电路的实现及集成逻辑门电路的特性等内容,是这门课程的基础。第二部分是组合逻辑电路,主要介绍组合逻辑电路的特点与分析设计方法,以及常用的组合逻辑电路分析与设计。第三部分是时序逻辑电路,主要介绍各类触发器的基本原理、时序逻辑电路的表示与分析设计方法、以及常用时序逻辑电路的分析与设计等内容。第四部分是半导体存储器与可编程逻辑器件,主要介绍三种半导体存储器的结构特点与适用领域、以及三种可编程逻辑器件的结构特点与适用领域。第五部分是定时和整形电路,主要介绍振荡器的基本原理,555定时器的组成与特点,以及常用的脉冲整形电路。第六部分是数模和模数转换,主要介绍常用的几种数模和模数转换器件的性能指标与工作原理。
      通过本课程的学习,应考者应该掌握常用数字逻辑电路的基本工作原理与分析设计方法,本课程实践性较强。在学习过程中一定要理论联系实际,多思考,并通过做习题来加深对基本理论的理解与灵活运用。
      (二)本课程的基本要求
      通过本课程的学习,应考者应达到以下要求:
      1、掌握通过逻辑代数的方式分析数字电路的基本方法;
      2、掌握常用组合逻辑电路的特点与分析设计方法;
      3、掌握常用时序逻辑电路的特点与分析设计方法;
      4、了解存储器与可编程逻辑器件的结构特点与应用领域
      5、掌握脉冲的产生与整形的基本方法
      6、了解模数与数模转换的基本原理与性能指标
      (三)本课程与相关课程的联系
      本课程的前修课程是高等数学、普通物理等课程。高等数学是所有专业的通识基础课,帮助我们建立起利用数学解决实际问题的思维方式,普通物理有助于建立起电子电路的基本概念。

    二、课程内容与考核目标
      第一章   数字逻辑基础
      (一)课程内容
      本章主要介绍数制与码制的基本概念、基本逻辑运算与逻辑门、逻辑函数标准表达式、逻辑函数的表达与化简以及逻辑函数门电路的实现。
      (二)学习要求
      理解与掌握数制与码制的表达方式,理解数字系统中所有信息都要用二进制来表示。掌握基本的逻辑运算关系与逻辑门,掌握逻辑函数的表示方法及其化简方法,理解逻辑函数的门电路实现。
      (三)考核知识点与考核要求
      1、领会:数制与码制的基本概念与表示方法,包括十进制、二进制、八进制和十六进制的表示方法,因为数字逻辑电路只能识别和处理二进制数码,所以数字系统中所有信息都要用二进制来表示,这些二进制数码被称为代码;原码、反码、补码的表示方法;补码表示的优点,用补码可以将加减两种运算统一用加法运算来实现。几种常用的二进制代码表示方法,包括8421BCD码、余3码、格雷码和ASCII码。基本的逻辑运算关系,包括逻辑与、逻辑或、逻辑非。基本的逻辑门电路,与门、或门和非门。正逻辑和负逻辑的基本概念。逻辑变量和逻辑函数的基本概念,具有二值逻辑状态的变量为逻辑变量,具有二值逻辑状态的函数称为逻辑函数。最小项的特点,每个乘积项都有三个因子,每一个变量都是它的一个因子,每个变量以原变量或反变量的形式出现,且只出现一次。最小项的性质。实现逻辑函数化简的意义。表达式复杂,实现电路就复杂,表达式简单,实现电路就简单。因为实现电路简单,可以降低成本,所以要进行逻辑函数化简。
      2、掌握:二进制数的表示与运算,包括加法与减法运算,各种进制数之间的转换,包括二、八、十六进制与十进制之间的转换,包括整数部分和小数部分的转换原则。基本的逻辑运算关系与门电路的表示;复合逻辑运算及其逻辑门。包括与非门、或非门、与或非门、异或门等。正逻辑和负逻辑的相互关系,正逻辑与门和负逻辑或门相对应,正逻辑与非门和负逻辑或非门相对应,反之亦然。同一个电路,采用正逻辑,电路实现与运算,而采用负逻辑,电路实现或运算。
      逻辑函数与逻辑代数公式的运用,包括基本公式与常用公式,逻辑代数的运算规则,包括运算优先顺序、带入规则、反演规则和对偶规则;异或运算公式;最小项与逻辑函数标准表达式,包括从真值表求逻辑标准表达式的方法,由最小项相或组成的表达式称为逻辑函数标准与或表达式,也称为最小项和表达式,任何一个逻辑函数表达式都可以转换为标准与或表达式;逻辑函数的门电路实现,主要掌握两级与或逻辑电路、两级与非逻辑电路、两级或非逻辑电路和两级与或非逻辑电路的实现形式,约定第一级门电路输入可以用反变量。
      3、熟练掌握:逻辑代数的基本公式与常用公式,熟练记忆并灵活运用;通过基本公式和逻辑代数运算规则能够证明常用公式。根据真值表求解逻辑函数表达式,将一般表达式转换为标准与或表达式。利用各种公式进行逻辑代数的化简,掌握常用的几种化简方法。卡诺图的含义。熟练掌握卡诺图化简法,包括二变量、三变量、四变量和五变量的卡诺图表示与化简方法。认真领会卡诺图化简时应该注意的几个问题,会利用无关项进行逻辑函数化简。逻辑函数的Q-M化简法,熟练掌握几个基本步骤。
 
    第二章   集成逻辑门

      (一)课程内容
      本章主要介绍TTL门电路和CMOS门电路的基本工作原理与外部特性以及门电路的基本应用。
      (二)学习要求
      理解与掌握TTL门电路的基本特性,理解集电极开路门和三态门的特点,理解和掌握CMOS门电路的基本特性,掌握逻辑门的基本应用
      (三)考核知识点与考核要求
      1、领会:TTL与非门的电路结构,它由输入级、中间级和输出级三部分组成,利用三极管的饱和导通与截止实现逻辑电平 的反向。集电极开路门的电路结构与特点,OC门必须外接上拉电阻才能正常工作,主要特点是能够实现“线与”功能,可以将多个输出端直接相连,而普通门电路不具有这样的特点,还有OC门外接电阻的计算方法。三态门的特点与应用,三态门除了具有一般逻辑门的两种状态外,还具有高输出阻抗第三种状态,称为高阻态。TTL与CMOS电路间的联接,包括电路连接的匹配原则,分为电流匹配和电压匹配;CMOS电路驱动TTL电路和TTL驱动CMOS电路的具体方法。数字集成电路的实际应用,包括电源电压、噪声容限、工作频率、功耗的选择,数字电路型号的识别以及使用数字集成电路应该注意的几个问题,即空闲引脚的处理、CMOS的静电防护和电源要求等。
      2、掌握:TTL门电路的特性与性能参数,TTL电路特性与性能参数,包括电压的传输特性,即逻辑门的输出电压随输入电压变化而变化的特性曲线;抗干扰能力,通常用噪声容限来表示,门电路的噪声容限大,则其抗干扰能力强,分为高电平容限和低电平容限;输入特性,包括输入伏安特性和输入负载特性;输出特性,即逻辑门电路输出电流变化引起输出电压变化的关系特性曲线,分为低电平输出和高电平输出;负载能力,即扇出系数,指一个门电路所能驱动同类门的最多个数;动态特性,包括平均传输延迟时间和动态尖峰电流。MOS管及其开关特性,用MOS场效应管做为开关元件的逻辑电路总称为MOS电路,当工作在大信号条件下时,可以通过MOS管的栅源电压来控制其漏、源之间的导通或截止,使MOS管工作在开、关状态。CMOS门电路的特性与性能参数,CMOS集成电路称为互补对称MOS集成电路,其结构采用增强型PMOS管和NMOS管互补对称连接而成。CMOS集成电路的特点主要有功耗小、电源电压取值范围大、抗干扰能力强、负载能力强等,目前的工作速度已经接近于TTL电路。门电路的应用,包括驱动发光二极管、驱动继电器、压控多谐振荡器以及三态门与总线间的数据双向传输等。
      第三章   组合逻辑电路
      (一)课程内容
      本章主要介绍组合逻辑电路的基本概念、组合逻辑电路的分析与设计、常用组合逻辑电路的MSI器件的原理与应用以及组合逻辑电路中的竞争—冒险现象。
      (二)学习要求
      熟悉组合逻辑电路在电路结构和逻辑功能上的特点;理解组合逻辑电路的描述方法;掌握组合逻辑电路的分析方法及应用;理解组合逻辑电路中竞争—冒险现象的成因及基本消除方法。 
      (三)考核知识点与考核要求
      1、领会:组合逻辑电路的特点,在组合逻辑电路中,任意时刻的稳定输出状态只取决于该时刻输入信号的状态,而与输入信号作用前电路所处的状态无关,即:电路中不含记忆单元,输出与输入间没有反馈通路;组合电路的竞争与冒险现象,所有的逻辑门都存在传输延迟时间,另外,所有的信号也都有上升和下降时间,信号经导线传输也需要时间,因此同一门的一组信号,由于信号在传输过程中经过的门的数量不同,各个具体逻辑门的传输时间不同,还有导线长短等因素,到达的时间会有先有后,这种现象叫做竞争。竞争的结果是随机的,有些竞争并不影响电路的逻辑功能,但有些竞争却使得输入信号的变化引起输出信号出现出现非预期的错误输出,这一现象称为冒险;竞争和冒险的判断,有代数法和卡诺图法;竞争和冒险的消除,增加冗余项。算数逻辑单元的基本概念,数字系统中常把执行数值比较、加法和减法等算术运算,与、与非、或、或非、异或和移位等逻辑运算的电路,称之为算术逻辑单元。
      2、掌握:组合逻辑电路的分析方法,组合逻辑电路的分析一半有如下四个步骤:1)由逻辑图写出逻辑式。从输入端到输出端逐级写出输出函数对输入变量的逻辑函数表达式,也可由输出端向输入端逐级推导,最后得到以输入变量表示的输出逻辑函数表达式。2)化简输出逻辑函数表达式。3)列出真值表。4)根据真值表和逻辑函数表达式确定电路的逻辑功能。组合逻辑电路设计的基本方法,一般可分为如下四步:1)根据给定的逻辑要求,定义输出逻辑变量和输入逻辑变量,并列出真值表。2)根据真值表写出输出逻辑函数的与或表达式,3)将输出逻辑函数表达式化简或变换。4)根据化简或变换后的输出逻辑函数表达式,画出逻辑电路图。加法器与数值比较器的基本组成原理,包括半加器、全加器,以及四位二进制加法器中的并行加法器、8421码加法器和减法器,中规模集成四位数值比较器CT1085的功能表与原理图。
      3、熟练掌握:几种常用的组合逻辑电路MSI器件的组成原理与应用,编码器中的二进制编码器、二—十进制编码器和优先编码器的功能与真值表、逻辑图。译码器中的二进制3-8译码器的真值表和逻辑图、CT138的逻辑符号,四位二—十进制BCD码译码器的真值表和逻辑图,七段译码器CT1247的功能表,由3-8译码器级联组成4-16译码器,CT4138用作数据分配器的方法,CT1153数据选择器的功能表与逻辑图,用双4选1数据选择器组成8选1数据选择器的方法。  
     
    第四章    触发器

      (一)课程内容
      本章主要介绍触发器的基本概念、触发器的电路结构与工作原理;触发器的逻辑功能及其描述方法。
      (二)学习要求
      熟悉触发器的逻辑分类、功能和基本特点;理解各类触发器的工作原理和动作特点;掌握触发器逻辑功能的描述方法(包含特性表、特性方程、状态图和时序图等);掌握不同类型触发器之间的相互转换和不同描述方法的相互转换。
      (三)考核知识点与考核要求
      1、领会:触发器的基本概念,触发器也称双稳态触发器,在输入信号触发下,触发器可以从一个稳定状态翻转到另外一个稳定状态,在没有外部信号触发时,触发器维持原来的稳定状态不变。触发器的逻辑分类,按照有无时钟又分为无时钟触发和有时钟触发两种,其中有时钟触发又分为电平触发、主从触发和边沿触发等。从逻辑功能来分,有RS触发器、D触发器和JK触发器等。各种触发器具有不同的功能和特点。在触发器的逻辑功能的描述方法中,常用的有特性表、特性方程、状态图和波形图等,特性表直观,但繁琐;特性方程的概括性强,便于运算,但较抽象;状态图直观、简便、但难以记忆;波形图便于观察,但画法复杂,它们之间可以相互转换。触发器异步输入端的作用,用于直接置0和置1,不受时钟控制。
      2、掌握:RS触发器、JK触发器、D触发器、T触发器和 T′触发器各自的工作原理和动作特点,RS触发器是构成各种功能触发器的最基本单元,分为与非门RS触发器和或非门RS触发器,前者低电平起作用,后者高电平起作用。D触发器由RS触发器演变而来,当时钟到来时,输入端的状态送到输出端,若没有时钟,输出端不发生变化。JK触发器也是由RS触发器构成,具有独立的置1端和置0 端,时钟到来时,输出端的状态决定于J、K端的状态。T触发器和 T′触发器可由JK触发器构成。
      3、熟练掌握:各类触发器逻辑功能的描述方法(包含特性表、特性方程、状态图和时序图等);不同类型触发器之间的相互转换和不同描述方法的相互转换。
      第五章   时序逻辑电路
      (一)课程内容
      本章主要介绍时序逻辑电路的基本概念、时序逻辑电路的分析方法、若干常用的时序逻辑电路(MSI器件)、时序逻辑电路的设计方法。
      (二)学习要求
      熟悉时序逻辑电路在电路结构和逻辑功能上的特点、分类;理解时序逻辑电路逻辑功能的描述方法;掌握同步时序逻辑电路的分析方法和设计方法;掌握典型MSI时序逻辑器件上的附加控制端的功能和使用方法,并进行多片联用的逻辑设计。  
      (三)考核知识点与考核要求
      1、领会:时序逻辑电路的基本概念,时序逻辑电路的任何时刻的输出信号不仅取决于该时刻的输入信号,还与电路过去的输入有关。时序逻辑电路的特点,一是时序电路通常包含组合电路和存储电路两个组成部分,另外,存储电路的输出状态反馈到组合电路的输入端,与输入信号共同决定电路的输出。计数器的概念,计数器是一种累计脉冲个数的逻辑部件,不仅用于时钟脉冲的计数,还可用于分频、产生节拍脉冲及数字运算等,是数字系统中使用最多的一种时序电路。计数器的分类,按照触发方式分,有同步计数器和异步计数器,按照计数器容量分,有二进制计数器和非二进制计数器,按照数的递增递减分类,有加法计数器和减法计数器。寄存器的特点与分类,寄存器具有暂时存储二进制数据的功能,按照其功能特点可分为数据寄存器和移位寄存器。锁存器的特点,在没有锁存信号到来时,锁存器输出状态随输入信号变化而变化,当锁存信号到来时,锁存器将保持锁存信号到达前一时刻的状态不变。
      2、掌握:时序逻辑电路逻辑功能的描述方法,时序逻辑电路的逻辑功能可用电路的方程组(驱动方程、状态方程和输出方程)来进行描述。但由于时序电路每一时刻的状态都和电路的过去状态有关,所以,从方程组中还不能获得对电路逻辑功能的完整印象。因此,时序逻辑电路常用状态图和状态转换表来表示其逻辑功能。异步时序逻辑电路的分析方法和设计方法,各触发器没有统一的时钟要求,必须先分析各触发器的时钟是否为有效触发,只有那些有效触发时的触发器才需要用电路的状态方程去计算次态,否则,触发器将保持原来状态不变,即不必计算次态。因此,分析异步时序时,一般要写出触发器的时钟方程。异步五进制计数器的详细分析步骤。
      3、熟练掌握:同步时序逻辑电路的分析方法和设计方法,同步时序电路的分析可分为如下几个步骤,1)写出每个触发器的驱动方程,可由电路输入端的连接关系直接写出。2)求得状态方程和输出方程,将各触发器的驱动方程代入各自得特性方程,得到触发器的状态方程,由电路输出端的连接关系直接得到输出方程。3)列出状态转换表,由状态方程和输出方程列出。4)画出状态图和波形图。二进制同步加法计数器和减法计数器的组成与工作原理,分析和设计方法,利用集成的同步四位二进制计数器CT1161组成任意进制的计数器,多片计数器的级联,计数器的附加控制端的作用,同步十进制计数器CT1290的结构与工作原理,可逆计数器CT1190的结构与工作原理,集成的移位寄存器CT1194的原理与应用,利用CT1194组成环形计数器和扭环计数器,CT1194的级联使用逻辑设计,熟练领会并运用典型时序逻辑电路的设计的一般步骤:1)分析设计要求得出电路初始状态图或初始状态转换表,2)化简状态图,3)状态分配,4)选定触发器的类型,求出电路的状态方程,驱动方程和输出方程,5)画出时序逻辑电路图,6)检查设计的电路能否自启动。
      第六章   半导体存储器和可编程逻辑器件
      (一)课程内容
      本章主要介绍半导体存储器的基本概念及不同存储器的功能特点与应用;PLD器件基本概念及不同PLD器件的功能特点与应用;
      (二)学习要求
掌握半导体存储器的基本概念,各种不同存储器的功能特点与应用,PLD器件的基本概念,各种不同PLD器件的结构特点。

      (三)考核知识点与考核要求
      1、领会:半导体存储器的基本概念,半导体存储器具有品种多、容量大、速度快、耗电省、体积小、操作方便、维护容易等优点。半导体存储器的分类,按照信息存取方式不同分为随即存取存储器(RAM)和只读存储器(ROM)两大类;从电路构成来分,有双极型和MOS型两类,RAM又可分静态RAM和动态RAM,ROM按照存储信息的写入方式一般可分为固定ROM、可编程ROM(PROM)、可擦除PROM、及电改写PROM等。各类半导体存储器的结构特点,ROM主要由地址译码器、存储矩阵及读出电路三部分组成,存储矩阵中字线和位线的交叉点能存储一位二进制信息,的电路称为一个“存储单元”,存储单元可由二极管、三极管或MOS管组成。RAM的分类与特点,双极型RAM的存取速度高,但功耗大,集成度低,而MOS型RAM功耗小,集成度高,特别是动态RAM,集成度更高。静态RAM的基本存储单元是双稳态触发器,而动态RAM的基本存储单元是电容。PLD器件的基本概念,PLD称为可编程逻辑器件,由与阵列和或阵列等组成,通过开发软件的支持,实现在单个芯片上集成数字逻辑系统的功能。各类PLD器件的结构特点与应用,可编程逻辑阵列(PLA)的与阵列与或阵列均可编程,使用灵活。可编程阵列逻辑(PAL)的与阵列可编程,或阵列固定,具有多种输出结构,使用方便灵活。通用阵列逻辑(GAL)基本结构与PAL类似,可重复编程,使用更加方便。
      2、掌握:半导体存储器的扩展方法,包括ROM芯片的字扩展和位扩展,静态RAM芯片的字扩展和位扩展。可编程阵列逻辑(PAL)的工艺结构、编程特点和GAL器件的输出逻辑宏单元(OLMC)的结构和基本工作原理。
      3、熟练掌握:PLD器件的电路表示法,利用GAL器件构成计数器与移位寄存器。
      第七章   定时和整形电路
      (一)课程内容
      本章主要介绍振荡器的基本概念,各类振荡器的特点,555定时器的原理与应用,集成振荡器的应用以及施密特整形电路的特点与应用。
      (二)学习要求
      理解振荡器的基本概念;掌握施密特触发器、单稳态触发器、多谐振荡器典型电路的工作原理;熟悉555定时器的工作原理并掌握其典型应用。
      (三)考核知识点与考核要求
      1、领会:振荡器的基本概念,各类振荡器的应用特点。多谐振荡器是一种利用正反馈产生矩形波的自激振荡器,又称为无稳态电路。多谐振荡器产生数字系统所需的同步时钟信号。单稳态触发器是一种能够改变其输入触发脉冲宽度的振荡器,它有稳态和暂稳态两种状态,暂稳态持续时间由RC定时电路来决定。单稳态触发器广泛应用于需要脉冲整形、定时和延时的场合。
      2、掌握:各类振荡器典型电路的工作原理,包括由TTL逻辑门构成的多谐振荡器的工作原理,震荡频率的估算,石英晶体振荡器的工作原理,微分型单稳态触发器和积分型单稳态触发器的工作原理,脉冲宽度的估算。施密特整形电路的特点与应用,施密特触发器具有两个触发电平,利用施密特触发器可以实现波形变换、波形整形和幅度鉴别等功能。集成单稳态触发器CT1121的应用电路,CMOS集成振荡器CD4047的典型应用电路。
      3、熟练掌握:555定时器的工作原理及其典型应用,555定时器的内部结构、工作原理与外部引脚功能,555组成多谐振荡器的原理与结构,振荡频率的计算,555组成单稳态触发器的原理与外部结构,脉冲宽度的计算。
      第八章   数模和模数转换
      (一)课程内容
      本章主要介绍数模和模数转换的基本概念,主要指标及工作原理
      (二)学习要求
      掌握 A/D转换器的基本工作原理、输入与输出关系的定量计算和A/D转换器的主要类型(并行比较型、反馈型、积分型),一般工作过程和综合性能比较;理解D/A转换器和A/D转换器的主要技术指标及影响它们的主要因素。
      (三)考核知识点与考核要求
      1、领会:A/D和D/A的基本概念,数字系统只能处理数字信号,但是,在实际应用中,需要利用数字系统来处理模拟信号的情况很多,而A/D和D/A器件就是中间的桥梁。A/D实现将模拟信号转变为数字信号,D/A实现将数字信号转变为模拟信号。主要指标有分辨率、精度、误差范围等,DAC的分辨率是指最小输出电压与满刻度输出电压之比,ADC的分辨率是指输出数字量变化一个最低位所对应输入模拟量需要的变化量,精度分为绝对精度和相对精度,DAC的绝对精度指的是在输入端加有给定的数字代码时,在输出端实际测得的模拟输出值与应有的理想输出值之差。ADC的绝对误差指的是在输出端产生给定的数字代码时,实际需要的模拟输入值与理论上要求的输入值之差。相对误差是在满刻度校准以后,绝对误差与满刻度的比值。误差范围分为失调误差、增义误差和非线性误差,失调误差又称为零点误差,定义为当数字输入为全0码时,模拟输出值与理想输出值之差,DAC的输入与输出传递特性曲线的斜率称为DAC的转换增益或标度系数,实际转换的增义与理想增义之间的偏差称为增义误差。非线性误差定义为实际转换特性曲线与理想转换特性曲线的最大偏差。
      2、掌握:A/D和D/A的基本工作原理,综合性能比较,D/A转换器和A/D转换器的主要技术指标及影响它们的主要因素。

    三、有关说明和实施要求
      (一)关于“课程内容与考核目标”中有关提法的说明
在大纲的考核要求中,提出了“领会”、“掌握”、“熟练掌握”等三个能力层次,它们之间是递进等级关系,后者必须建立在前者的基础上,它们的含义是:

      1、领会:要求应考者能够记忆本课程中规定的有关知识点的主要内容,熟悉其内容要点和它们之间的区别与联系,并能根据考核的不同要求,做出正确的理解。
      2、掌握:要求应考者应该掌握的课程中的知识点,如根据给定的电路能够做出简单的分析,得出正确结果。
      3、熟练掌握:要求应考者必须掌握的课程中的重要知识点,如根据要求独立设计组合或时序逻辑电路,完成一定功能。
      (二)自学教材
      本课程使用的教材为:《数字电子技术》,中国机械工业教育协会组编,机械工业出版社,2001年。
      (三)自学方法的指导
      本课程是一门专业基础课,内容较多,应考者在自学过程中应注意以下几点:
      1、在学习前,应仔细阅读课程大纲的第一部分,了解课程的性质、地位和任务,熟知课程的基本要求以及本课程与有关课程的联系,使以后的学习能紧紧围绕课程的基本要求。
      2、在阅读某一章教材内容前,应先认真阅读大纲中关于该章的考核知识点、自学要求和考核要求,注意对各知识点的能力层次要求,以便在阅读教材时做到心中有数,有的放矢。
      3、阅读教材时,应根据大纲要求,要逐段细读,逐句推敲,集中精力,吃透每个知识点,对基本概念必须深刻理解,基本原理必须牢固掌握,在阅读中遇到个别细节问题不清楚,在不影响继续学习的前提下,可暂时搁置。
      4、学完教材的每一章内容后,应认真完成教材中的复习思考题,书后附有部分习题的答案,可帮助应考者理解、消化和巩固所学知识,增强分析问题、解决问题的能力。  
 
    (四)对社会助学的要求

      1、应熟知考试大纲对课程所提出的总的要求和各章的知识点。
      2、应掌握各知识点要求达到的层次,并深刻理解各知识点的考核要求。
      3、对应考者进行辅导时,应以指定的教材为基础、以考试大纲为依据,不要随意增删内容,以免与考试大纲脱节。
      4、辅导时应对应考者进行学习方法的指导,提倡应考者“认真阅读教材,刻苦钻研教材,主动提出问题,依靠自己学懂”的学习方法。
      5、辅导时要注意基础、突出重点,要帮助应考者对课程内容建立一个整体的概念,对应考者提出的问题,应以启发引导为主。
      6、注意对应考者能力的培养,特别是自学能力的培养,要引导应考者逐步学会独立学习,在自学过程中善于提出问题、分析问题、做出判断和解决问题。
      7、要使应考者了解试题难易与能力层次高低两者不完全是一回事,在各个能力层次中都存在着不同难度的试题。
      (五)关于命题和考试的若干规定
      1、本大纲各章所提到的考核要求中,各条细目都是考试的内容,试题覆盖到章,适当突出重点章节,加大重点内容的覆盖密度。
      2、试卷对不同能力层次要求的试题所占的比例大致是:“领会”20%;“掌握”40%:“熟练掌握”为40%。
 
    3、试题难易程度要合理,可分为四档:易、较易、较难、难,这四档在各份试卷中所占的比例约为2:3:3:2。
 
    4、本课程考试试卷可能采用的题型有:填空题、单项选择题、分析题、设计题等类型(见附录)。
    5、本课程考试方式为闭卷、笔试,考试时间为150分钟。评分采用百分制,60分为及格。
      附录 题型举例
      一、填空题
    如:典型TTL逻辑门输入高电平最小值为  (1)  
      二、单选题
    如:CMOS与非门不用的输入端可以( )
    A、悬空     B、与使用端并接    C、接地    D、与输出端并接
      三、分析题
    如:分析如图所示逻辑电路,写出逻辑表达式,化简为与或表达式并画出新的逻辑电路。
 02344 数字电路(高纲 0608)(图1)       四、设计题
    如:用T1161集成计数器设计一个十二进制计数器,要求采用反馈复位法实现。
 

以上就是关于“02344 数字电路(高纲 0608)”的全部内容,想获取更多江苏自考的相关资讯,如江苏自考常见问题、院校动态,政策公告,考试题库,复习备考、专业目录。敬请关注下方“江苏自考微信公众号”或加入“江苏自考考生交流群”和千万自考生一起学习,共同进步~
欢迎关注“江苏自考网微信公众平台
江苏自考网微信公众平台
(自考资讯,一手掌握!)
欢迎加入“江苏自考网微信交流群
江苏自考网微信交流群
(扫码进群,领取《 备考资料和备考方案 》哦!)
8888人已通过
开始测试

江苏自考网》免责声明:

(一)由于考试政策等各方面情况的不断调整与变化,本网站所提供的考试信息仅供参考,请以省考试院及院校官方发布公布的正式信息为准。

(二)本站文章内容信息来源出处标注为其他平台的稿件均为转载稿,免费转载出于非商业性学习目的,版权归原作者所有。如您对内容、版权等问题存在异议请与本站联系,我们会及时进行处理解决,联系邮箱:952056566@qq.com。

报考提醒

报名入口 查询系统

自考交流群

咨询老师

扫一扫,加入江苏自考交流群免费送《备考资料和备考方案》

扫一扫,加入“江苏自考交流群”
进群领取《备考资料和备考方案》

报考服务

025-83235887